Eliyan zapewnia najwyższą wydajność połączenia chipletowego PHY przy 64 Gb/s w procesie 3 nm

cyberfeed.pl 1 tydzień temu


Firma Eliyan Corporation, uznawana za twórcę najwydajniejszego i najbardziej wydajnego interkonektu chipletowego w branży półprzewodników, ogłosiła dzisiaj pomyślną dostawę pierwszego krzemu do układu NuLink -2.0 PHY, wyprodukowanego w procesie 3 nm. Urządzenie osiąga prędkość 64 Gbps/bump, najwyższą w branży wydajność w przypadku rozwiązania PHY typu „die-to-die” dla architektur z wieloma matrycami. Chociaż osiągnięcie to jest zgodne ze standardem UCIe, to kamień milowy dodatkowo potwierdza zdolność Eliyana do rozszerzenia łączności typu die-to-die o 2x większą przepustowość, zarówno w opakowaniach standardowych, jak i zaawansowanych, przy niespotykanej mocy, obszarze i opóźnieniach.

NuLink-2.0 to wielomodowe rozwiązanie PHY, które obsługuje również UMI (Universal Memory Interconnect), nowatorską technologię wzajemnych połączeń chipletów, która poprawia wydajność przepustowości Die-to-Memory ponad dwukrotnie. UMI wykorzystuje dynamiczną dwukierunkową technologię PHY, której specyfikacje są w tej chwili finalizowane w ramach projektu Open Compute Project (OCP) jako BoW 2.1.

Pojazd demonstracyjny NuLink-2.0 wykorzystuje standardowe opakowania organiczne/laminatowe z układami 5-2-5 i 8-2-8. Wysoce wydajny obszarowo NuLink PHY ma ograniczone nierówności i mieści się nie tylko z odstępem poniżej 90um w standardowym opakowaniu, ale także z odstępem poniżej 45-55um w zaawansowanym opakowaniu. Może zapewnić przepustowość do 5 Tbps/mm w standardowej obudowie, wykorzystując innowacyjne techniki eliminacji odbić i przesłuchów, oraz do 21 Tbps/mm w zaawansowanych obudowach przy zmniejszonej mocy, wykorzystując niezakończone odbiorniki i upraszczając obwody eliminujące. Bezprecedensowo niska moc NuLink sprawia, iż ​​jest to idealne rozwiązanie PHY, które spełnia rygorystyczne wymagania dotyczące gęstości mocy niestandardowej matrycy bazowej HBM4, która jest kluczowym elementem wszystkich przyszłych systemów AI.

Urządzenie zawiera zintegrowaną architekturę PHY w połączeniu z adapterem/kontrolerem warstwy łącza IP, aby zapewnić kompletne rozwiązanie dostosowane do gwałtownie rozwijających się rynków sztucznej inteligencji dla zastosowań HPC i aplikacji brzegowych. Niższe koszty wynikające ze stosowania standardowych opakowań mogą dodatkowo zachęcić do projektowania opartych na chipletach w segmentach wnioskowania i gier, a także na innych sąsiednich rynkach, ponieważ można je łatwiej zakwalifikować do zastosowań w przemyśle lotniczym, motoryzacyjnym i wymagających rynkach przemysłowych.

„Ten kamień milowy ustanawia nowy standard w zakresie korzyści w zakresie wydajności i całkowitego kosztu posiadania przy wdrażaniu szerokiej gamy zastosowań z wieloma matrycami” – powiedział dyrektor generalny-założyciel firmy Eliyan, Ramin Farjadrad. „Sama wydajność zwiększa stopień swobody architektów pracujących nad usunięciem lub zmniejszeniem ścian pamięci i wejść/wyjść. W połączeniu z niespotykanie niskim zużyciem energii i elastycznością technologii pakowania w celu optymalizacji specyficznej dla rynku pod względem kosztów i złożoności, jesteśmy w stanie dostarczyć rozwiązanie, które pomoże skalować projekty oparte na chipletach do nowych poziomów możliwości w różnorodnych branżach.”



Source link

Idź do oryginalnego materiału